MediaTek Develops First 3nm Chip With TSMC, Expected to Debut in 2024

MediaTek will launch its flagship grade Dimensity processors with 3nm technology

JOIN US
Highlights
  • MediaTek has announced its first 3nm processor, developed in collaboration with TSMC.
  • The new 3nm technology is capable of producing 18% faster processors, as compared to the current chipsets.
  • MediaTek will launch its 3nm processors in the second half of 2024.

MediaTek has officially announced the successful development of a new 3nm architecture chipset, using TSMC’s fabrication technology. The new processor will be launched under the Dimensity lineup of MediaTek. The company says that this new 3nm chip will be available in the market starting in 2024.

The Dimensity division of MediaTek has emerged as a strong competitor to Qualcomm Snapdragon flagship processors. Prior to Dimensity, MediaTek’s major market share came from budget and mid-range devices with its Helio Series of processors. Currently, Intel and TSMC are the two major partners of MediaTek in chip development.

MediaTek’s First 3nm Processor To Release In 2024

In a press conference held in Hsinchu, Taiwan; MediaTek made an official announcement of a new 3nm processor. This chipset is developed in partnership with TSMC, using the advanced 3nm fabrication. Currently, TSMC and Samsung are the only companies in the world to manufacture 3nm chipsets.

MediaTek did not share the exact details of the processor, such as the name and core configuration. However, it is speculated that the upcoming Dimensity 9400 could be the first processor from MediaTek to be built on a 3nm process.

As of now, the most advanced processor by MediaTek is made on a 4nm architecture. The Dimensty 9000 was the first processor by MediaTek to use 4nm fabrication, and it was launched in early 2022.

Dr. Cliff Hou, Senior Vice President of TSMC, said that the new 3nm technology will bring in significant improvements in processors. The new architecture offers an 18% speed improvement over the latest processors, along with a 32% reduction in power consumption making them more efficient.

The shift from 4nm to 3nm also increases the transistor density by 60%, which enhances the logic performance of a processor. TSMC says that the new 3nm technology has been in development for several years.

Apart from MediaTek, Apple is also expected to use the same 3nm fabrication technology on the upcoming A17 Bionic chipset in the iPhone 15 Series. Along with this, Apple is also expected to use 3 nm-based chips in its new M-series desktop chips.

Qualcomm Competing Strongly With MediaTek

Earlier this year, it was reported that Qualcomm is expected to split the production of its Snapdragon 8 Gen 4 processor between Samsung and TSMC. The new chipset will be made on the same 3nm architecture. However, it is worth noting that the yield of finished processors from raw silicon is just 60% for Samsung and 55% for TSMC for the new 3nm technology.

Hence, Qualcomm is looking to get supplies from both, Samsung and TSMC to keep up with the market demands of the Snapdragon 8 Gen 4. Qualcomm is expected to launch this new processor in the second half of 2024.

Qualcomm’s upcoming processor, the Snapdragon 8 Gen 3, will be using a 4nm architecture. It is expected to launch in October 2023. Xiaomi and OnePlus could be the first brands to launch devices with the Snapdragon 8 Gen 3 chipset.